Skip to content

Commit aa707bf

Browse files
committed
Merge branch 'tt10'
2 parents 95e18d3 + cb6c28d commit aa707bf

File tree

10 files changed

+79
-16
lines changed

10 files changed

+79
-16
lines changed

.devcontainer/Dockerfile

Lines changed: 27 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,27 @@
1+
ARG VARIANT=ubuntu-22.04
2+
FROM mcr.microsoft.com/vscode/devcontainers/base:${VARIANT}
3+
4+
ENV DEBIAN_FRONTEND=noninteractive
5+
ENV PDK_ROOT=/home/vscode/ttsetup/pdk
6+
ENV PDK=sky130A
7+
8+
RUN apt update
9+
RUN apt install -y iverilog gtkwave python3 python3-pip python3-venv python3-tk python-is-python3 libcairo2 verilator libpng-dev libqhull-dev
10+
11+
# Clone tt-support-tools
12+
RUN mkdir -p /ttsetup
13+
RUN git clone -b tt10 https://github.com/TinyTapeout/tt-support-tools /ttsetup/tt-support-tools
14+
15+
COPY test/requirements.txt /ttsetup/test_requirements.txt
16+
COPY .devcontainer/copy_tt_support_tools.sh /ttsetup
17+
18+
RUN pip3 install -r /ttsetup/test_requirements.txt -r /ttsetup/tt-support-tools/requirements.txt
19+
20+
# Install verible (for formatting)
21+
RUN umask 022 && \
22+
curl -L https://github.com/chipsalliance/verible/releases/download/v0.0-3795-gf4d72375/verible-v0.0-3795-gf4d72375-linux-static-x86_64.tar.gz | \
23+
tar zxf - -C /usr/local --strip-components=1 && \
24+
chmod 755 /usr/local/bin
25+
26+
# Install openlane
27+
RUN pip3 install openlane==2.1.5
Lines changed: 6 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,6 @@
1+
#! /bin/sh
2+
3+
if [ ! -L tt ]; then
4+
cp -R /ttsetup/tt-support-tools tt
5+
cd tt && git pull && cd ..
6+
fi

.devcontainer/devcontainer.json

Lines changed: 29 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,29 @@
1+
// For format details, see https://aka.ms/devcontainer.json. For config options, see the README at:
2+
// https://github.com/microsoft/vscode-dev-containers/tree/v0.183.0/containers/ubuntu
3+
{
4+
"name": "Tiny Tapeout Dev Container",
5+
"build": {
6+
"dockerfile": "Dockerfile",
7+
"context": ".."
8+
},
9+
"runArgs": [
10+
"--memory=10GB"
11+
],
12+
"customizations": {
13+
"vscode": {
14+
"settings": {
15+
"terminal.integrated.defaultProfile.linux": "bash"
16+
},
17+
"extensions": ["mshr-h.veriloghdl", "surfer-project.surfer"]
18+
}
19+
},
20+
"features": {
21+
"ghcr.io/devcontainers/features/docker-in-docker:2": {
22+
"moby": true,
23+
"azureDnsAutoDetection": true,
24+
"version": "latest",
25+
"dockerDashComposeVersion": "none"
26+
}
27+
},
28+
"postStartCommand": "/ttsetup/copy_tt_support_tools.sh"
29+
}

.github/workflows/docs.yaml

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -14,4 +14,4 @@ jobs:
1414
submodules: recursive
1515

1616
- name: Build docs
17-
uses: TinyTapeout/tt-gds-action/docs@tt09
17+
uses: TinyTapeout/tt-gds-action/docs@tt10

.github/workflows/fpga.yaml

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -16,4 +16,4 @@ jobs:
1616
submodules: recursive
1717

1818
- name: FPGA bitstream for TT ASIC Sim (ICE40UP5K)
19-
uses: TinyTapeout/tt-gds-action/fpga/ice40up5k@tt09
19+
uses: TinyTapeout/tt-gds-action/fpga/ice40up5k@tt10

.github/workflows/gds.yaml

Lines changed: 4 additions & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -14,7 +14,7 @@ jobs:
1414
submodules: recursive
1515

1616
- name: Build GDS
17-
uses: TinyTapeout/tt-gds-action@tt09
17+
uses: TinyTapeout/tt-gds-action@tt10
1818
with:
1919
flow: openlane2
2020

@@ -23,7 +23,7 @@ jobs:
2323
runs-on: ubuntu-24.04
2424
steps:
2525
- name: Run Tiny Tapeout Precheck
26-
uses: TinyTapeout/tt-gds-action/precheck@tt09
26+
uses: TinyTapeout/tt-gds-action/precheck@tt10
2727

2828
gl_test:
2929
needs: gds
@@ -35,7 +35,7 @@ jobs:
3535
submodules: recursive
3636

3737
- name: GL test
38-
uses: TinyTapeout/tt-gds-action/gl_test@tt09
38+
uses: TinyTapeout/tt-gds-action/gl_test@tt10
3939

4040
viewer:
4141
needs: gds
@@ -44,4 +44,4 @@ jobs:
4444
pages: write # to deploy to Pages
4545
id-token: write # to verify the deployment originates from an appropriate source
4646
steps:
47-
- uses: TinyTapeout/tt-gds-action/viewer@tt09
47+
- uses: TinyTapeout/tt-gds-action/viewer@tt10

.vscode/extensions.json

Lines changed: 6 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,6 @@
1+
{
2+
"recommendations": [
3+
"mshr-h.veriloghdl",
4+
"surfer-project.surfer"
5+
]
6+
}

.vscode/settings.json

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,4 @@
1+
{
2+
"verilog.linting.linter": "verilator",
3+
"verilog.formatting.verilogHDL.formatter": "verible-verilog-format"
4+
}

src/config.json

Lines changed: 0 additions & 9 deletions
Original file line numberDiff line numberDiff line change
@@ -60,15 +60,6 @@
6060

6161
"FP_PDN_VPITCH": 38.87,
6262

63-
"//": "Use alternative efabless decap cells to solve LI density issue",
64-
"DECAP_CELL": [
65-
"sky130_fd_sc_hd__decap_3",
66-
"sky130_fd_sc_hd__decap_4",
67-
"sky130_fd_sc_hd__decap_6",
68-
"sky130_fd_sc_hd__decap_8",
69-
"sky130_ef_sc_hd__decap_12"
70-
],
71-
7263
"//": "Clock",
7364
"RUN_CTS": 1,
7465

src/tt_um_rejunity_z80.v

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -11,7 +11,7 @@ module tt_um_rejunity_z80 (
1111
input wire [7:0] uio_in, // IOs: Input path
1212
output wire [7:0] uio_out, // IOs: Output path
1313
output wire [7:0] uio_oe, // IOs: Enable path (active high: 0=input, 1=output)
14-
input wire ena, // will go high when the design is enabled
14+
input wire ena, // always 1 when the design is powered, so you can ignore it
1515
input wire clk, // clock
1616
input wire rst_n // reset_n - low to reset
1717
);

0 commit comments

Comments
 (0)